Pract DLP 1

2
INSTITUTO POLITÉCNICO NACIONAL UNIDAD PROFESIONAL INTERDISCIPLINARIA EN INGENIERÍA Y TECNOLOGÍAS AVANZADAS Practica 1: Circuitos Combinacionales y Secuenciales Dispositivos Lógicos Programables 1/2 Objetivo: Que el alumno desarrolle la habilidad de diseñar y diferenciar entre un circuito combinacional y un circuito secuencial. Así como implementarlo en un DPL utilizando el Lenguaje de desarrollo de hardware VHDL. Procedimiento: 1.- Diseñar un sumador / restador de 3 bits, mostrando el resultado en dos displays de 7 segmentos, el resultado deberá ser presentado en hexadecimal; teniendo en cuenta que la suma máxima seria 7 + 7 el resultado a mostrar será 0x”E”, y la resta máxima será 0 – 15 y el resultado mostrara 0x“7.”, siendo el punto el indicador de signo. 2.- Diseñar un contador de 0 99 a 10 Hz, donde se muestre el contador en dos displays de ánodo común que comparten bus de datos, los cuales tienen señales de activación para cada display donde se debe de habilitar uno a uno cada display a una frecuencia de 200 Hz. El contador debe de contar con un botón de reset y detiene-avanza, activado en alto y bajo respectivamente. 3.- Realizar un circuito digital que conste de 4 contadores de 0 15 con diferentes frecuencias cada uno, las frecuencias de operación de cada contador serán 150Hz, 1.5Hz, 200Hz y 50Hz.Cada uno de los contadores se deberán mostrar en displays de ánodo común los cuales tienen señales de activación para cada uno, se debe de habilitar uno a uno cada display a una frecuencia de 800 Hz.

description

Pract DLP 1

Transcript of Pract DLP 1

Page 1: Pract DLP 1

INSTITUTO POLITÉCNICO NACIONAL UNIDAD PROFESIONAL INTERDISCIPLINARIA EN

INGENIERÍA Y TECNOLOGÍAS AVANZADAS

Practica 1: Circuitos Combinacionales y Secuenciales

Dispositivos Lógicos Programables 1/2

Objetivo:

Que el alumno desarrolle la habilidad de diseñar y diferenciar entre un circuito

combinacional y un circuito secuencial. Así como implementarlo en un DPL utilizando el

Lenguaje de desarrollo de hardware VHDL.

Procedimiento: 1.- Diseñar un sumador / restador de 3 bits, mostrando el resultado en dos displays de 7 segmentos, el resultado deberá ser presentado en hexadecimal; teniendo en cuenta que la suma  máxima  seria  7  +  7  el  resultado  a  mostrar  será    0x”E”,  y  la  resta  máxima  será  0  – 15 y el resultado  mostrara  0x“7.”,  siendo  el  punto  el  indicador  de  signo.

2.- Diseñar un contador de 0 – 99 a 10 Hz, donde se muestre el contador en dos displays de ánodo común que comparten bus de datos, los cuales tienen señales de activación para cada display donde se debe de habilitar uno a uno cada display a una frecuencia de 200 Hz. El contador debe de contar con un botón de reset y detiene-avanza, activado en alto y bajo respectivamente.

3.- Realizar un circuito digital que conste de 4 contadores de 0 – 15 con diferentes frecuencias cada uno, las frecuencias de operación de cada contador serán 150Hz, 1.5Hz, 200Hz y 50Hz.Cada uno de los contadores se deberán mostrar en displays de ánodo común los cuales tienen señales de activación para cada uno, se debe de habilitar uno a uno cada display a una frecuencia de 800 Hz.

Page 2: Pract DLP 1

INSTITUTO POLITÉCNICO NACIONAL UNIDAD PROFESIONAL INTERDISCIPLINARIA EN

INGENIERÍA Y TECNOLOGÍAS AVANZADAS

Practica 1: Circuitos Combinacionales y Secuenciales

Dispositivos Lógicos Programables 2/2

4.-Diseñar el Control Digital de un teclado matricial de 4x4, teniendo una frecuencia de muestreo por línea de 20Hz, y con prioridad del más alto, implementar un método de paro de muestreo si se detectó una tecla presionada, mostrar en un display de 7 segmentos la tecla que se presionó en el teclado.

Dammah
15, 3.0, 25, 40 Hz c: Usamos esos valores¡?